Welcome![Sign In][Sign Up]
Location:
Search - FFT in Verilog

Search list

[VHDL-FPGA-VerilogVHDcf_fft_1024_8

Description: 1024点8位FFT的VHDL语言实现方式,大家可以参考一下。-1024-point FFT eight VHDL way, we can take a look.
Platform: | Size: 12288 | Author: 郭子荣 | Hits:

[VHDL-FPGA-Verilogfftmatlab

Description: fft在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-fft in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
Platform: | Size: 7168 | Author: zqh | Hits:

[VHDL-FPGA-Verilogverilog_Divide

Description: 这是我下的一个用verilog实现的除法代码-This is the one I use to achieve the verilog code division
Platform: | Size: 7168 | Author: | Hits:

[VHDL-FPGA-Verilog2C35F672_FFT

Description: 在Altera芯片2C35F672平台上的FFT程序,采用DSPBuilder5.0,生成Verilog文件。开发环境:QuartusII5.0。-In the Altera chip 2C35F672 platform FFT procedures DSPBuilder5.0, generated Verilog file. Development Environment: QuartusII5.0.
Platform: | Size: 474112 | Author: lovenevol | Hits:

[Algorithmfft-C

Description: 采用C语言设计的FFT代码,在C语言下调试通过。文件为word文档,需要嵌入到自己的程序中-Using C language designed FFT code in C language under the debugger through. Document for the word document, the need to embed into their own procedures
Platform: | Size: 3072 | Author: 李文良 | Hits:

[VHDL-FPGA-Verilogfftverilog

Description: 关于FFT实现的Verilog代码,-FFT realize on the Verilog code,
Platform: | Size: 410624 | Author: | Hits:

[VHDL-FPGA-VerilogVerilog

Description: Verilog教程,讲述Verilog在cpld/fpga中从设计到仿真全过程。-Verilog tutorial, Verilog described in cpld/fpga simulation from the design to the entire process.
Platform: | Size: 2479104 | Author: pangyugang | Hits:

[VHDL-FPGA-Verilogfft_statemachine

Description: FFT程序,此程序虽然耗逻辑资源很大,但是在接受数据后的第7个时钟沿就可以输出FFT变换后的数据,对要求时延较低的系统可以考虑-FFT procedure, this procedure should not consume a lot of logic resources, but the data in the first seven clock can be output along the FFT transformed data, the requirements of time-delay system can be considered lower
Platform: | Size: 7168 | Author: xiaoyuer | Hits:

[VHDL-FPGA-Verilogfft16ref

Description: VHDL fft 源程序,直接运行就可以,很好的一个程序-VHDL fft source code can be run directly, a very good program
Platform: | Size: 1123328 | Author: 苗哥 | Hits:

[AlgorithmFFT

Description: 详细介绍了关于快速傅立叶变换FFT的算法、原理。-Described in detail on the fast Fourier transform FFT algorithm, principle.
Platform: | Size: 491520 | Author: gareen | Hits:

[VHDL-FPGA-Verilogfftshixian

Description: OFDM系统中FFT的Verilog HDL 语言实现。-OFDM system FFT of Verilog HDL language.
Platform: | Size: 14512128 | Author: 江金华 | Hits:

[VHDL-FPGA-Verilogdesign

Description: The verilog implementation of 8-point FFT in verilog. Radix 2 Decimation in Frequency.
Platform: | Size: 10240 | Author: Hong-soo | Hits:

[VHDL-FPGA-VerilogFFT

Description: 8 point FFT written in Verilog
Platform: | Size: 7399424 | Author: binh | Hits:

[Crack Hack64R4SDFpoint_FFT

Description: 该工程实现了一个64点FFT,verilog编写,采用R4SDF结构,通过Modelsim功能仿真,压缩包里有rtl代码,dc脚本,输出报告。-The project implements a 64-point FFT, verilog compiled by R4SDF structure, through the Modelsim functional simulation, compression bag with rtl code, dc script, the output report.
Platform: | Size: 1255424 | Author: ShuChen | Hits:

[VHDL-FPGA-Verilogfft3

Description: 是用verilog写的FFt源码,通过编译基本是正确,希望对大家有所帮助-Is written FFt verilog source code, compile basic right, we want to help
Platform: | Size: 13030400 | Author: 全昊 | Hits:

[VHDL-FPGA-Verilograx2

Description: rax2 fft implation the fft in verilog instance and in ise of xilinx it show how to istance fft core and the port used
Platform: | Size: 1024 | Author: LL | Hits:

[assembly languagefft

Description: vhdl code and verilog code for an 128 point fft processor which has to be executed in xlinx software as needed for course project
Platform: | Size: 364544 | Author: tejaswini | Hits:

[VHDL-FPGA-Verilog1024-point-FFT-in-verilog.pdf

Description: 1024 点得快速傅里叶变换算法 FPGA in verilog-1024 point FFT on a FPGA written in verilog
Platform: | Size: 15033344 | Author: Colleen | Hits:

[VHDL-FPGA-Verilogfft

Description: fft in verilog code for fpga
Platform: | Size: 11264 | Author: ar | Hits:

[VHDL-FPGA-Verilogfft-dit-fpga-master

Description: 快速傅里叶变换verilog代码。时域抽取(the code of fft in verilog. DIT algorithm)
Platform: | Size: 26624 | Author: slplion | Hits:
« 12 3 »

CodeBus www.codebus.net